Static Timing Analysis (STA)





1) Static Timing Analysis - (Foundation) - Part#1 - Basics , Timing Paths

2) Static Timing Analysis - (Foundation) - Part#2 - Standard Cells , Timing Arcs

3) Static Timing Analysis - (Foundation) - Part#3 - Unateness, Delay (Cell Delay, Net Delay )

4) Static Timing Analysis - (Foundation) - Part#4 - Unateness Examples

5) Static Timing Analysis - (Foundation) - Part#5 - Clock Skew, Clock Jitter

6) Static Timing Analysis - (Foundation) - Part#6 - Clock Propagation, Clock Latency

7) Static Timing Analysis - Part#7 - Timing Constraints , Setup, Hold

8) Static Timing Analysis - Part#8 - Slack, Setup Slack, Hold Slack

9) Static Timing Analysis - Part#9 - Design Constraints

10) Static Timing Analysis - Part#10 - Data-To-Data[Non-Sequential] Timing Checks

0 Comments:

Post a Comment